薫のHack

FreeBSD cpコマンドの高速化

FreeBSDのcpコマンドのソースコードをみていると8MB未満のファイルは、mmap(2)とwrite(2)を利用してファ...

IE限定 CSSでリンクを半角文字の折り返しをする

ドキュメントのテキストは右端で折り返されるのが普通ですが、HTMLで半角文字の長いテキストが続く場合...

socketプログラミング struct sockaddr_inのin_addrとは?

はじめにstruct sockaddr_inの定義についてstruct in_addrの定義についてin_addr_tの定義についてin_por...


最近の記事

はてなの人気のブックマーク

コンテンツを検索しよう

過去ログ

2020 : 01 02 03 04 05 06 07 08 09 10 11 12
2019 : 01 02 03 04 05 06 07 08 09 10 11 12
2018 : 01 02 03 04 05 06 07 08 09 10 11 12
2017 : 01 02 03 04 05 06 07 08 09 10 11 12
2016 : 01 02 03 04 05 06 07 08 09 10 11 12
2015 : 01 02 03 04 05 06 07 08 09 10 11 12
2014 : 01 02 03 04 05 06 07 08 09 10 11 12
2013 : 01 02 03 04 05 06 07 08 09 10 11 12
2012 : 01 02 03 04 05 06 07 08 09 10 11 12
2011 : 01 02 03 04 05 06 07 08 09 10 11 12
2010 : 01 02 03 04 05 06 07 08 09 10 11 12
2009 : 01 02 03 04 05 06 07 08 09 10 11 12
2008 : 01 02 03 04 05 06 07 08 09 10 11 12
2007 : 01 02 03 04 05 06 07 08 09 10 11 12
2006 : 01 02 03 04 05 06 07 08 09 10 11 12
2005 : 01 02 03 04 05 06 07 08 09 10 11 12
2004 : 01 02 03 04 05 06 07 08 09 10 11 12
2003 : 01 02 03 04 05 06 07 08 09 10 11 12
プライバシーポリシー